专利摘要:
The invention describes a method of forming the spacers of a gate (120) of a transistor (200) comprising an active layer (146) surmounted by the gate; the invention comprising a step of forming (520) a porous layer (256) covering the gate and having a dielectric constant equal to or less than that of the silicon oxide, a step of forming (530) a layer protector (152) covering the porous layer and the gate, a step of etching (540) the protective layer made anisotropically so as to maintain residual portions (152a, 152b) of the protective layer only at the level of the sides of the grid, a modification (550) of the porous layer made by penetration of ions within the porous layer to form a modified layer (166), the modification being carried out anisotropically and so as to modify the porous layer throughout its thickness above the grid and above the active layer and so as not to change the entire thickness of the porous layer on the sidewalls of the grid, the latter being protected p ar spacers and constituting porous spacers (256a, 256b) for the gate, and a step of removing (560) the modified layer by means of etching, this removing step being carried out so as to leave in place the protective spacers.
公开号:FR3013895A1
申请号:FR1361585
申请日:2013-11-25
公开日:2015-05-29
发明作者:Nicolas Posseme
申请人:Commissariat a lEnergie Atomique CEA;Commissariat a lEnergie Atomique et aux Energies Alternatives CEA;
IPC主号:
专利说明:

[0001] TECHNICAL FIELD OF THE INVENTION The present invention relates generally to field effect transistors (FETs) used by the microelectronics industry and more particularly to the realization of gate spacers of metal-oxide-semiconductor type transistors. (MOSFET) mainly used for the production of all kinds of integrated circuits. STATE OF THE ART The relentless race to reduce dimensions that characterizes the entire microelectronics industry has been achieved only with the provision of key innovations throughout decades of development since the first integrated circuits were produced industrially in the sixties. A very important innovation that dates back to the seventies, and is still used, is to make the MOSFET transistors using a technique in which the source and drain electrodes are self aligned with those of the grid and do not therefore do not require a photoengraving operation for their definition. Combined with the use of polycrystalline silicon grids, it is the grids themselves, made first, which serve as a mask during the doping of the source and drain regions of the transistors.
[0002] Figure la is a sectional view of an example of this type of transistor 100 in progress. It contains the source and drain areas 110, generally designated source / drain zones, since they are very generally perfectly symmetrical and can play both roles depending on the electric polarizations that are applied to the transistor. The grid conventionally consists of a stack of layers 120, a large part of which is always composed of polycrystalline silicon 123. The formation of the source and drain zones is typically done by ion implantation 105 of dopants in the zones 110, the grid 120 serving mask as mentioned above, thus preventing the doping of the area of the MOSFET transistor in which, depending on the voltages applied to the gate, will be able to develop the channel 130 of conduction between source and drain.
[0003] The basic technique, very briefly described above, well known to those skilled in the art as well as many variants, has been constantly improved in order to improve the electrical performance of the transistors while allowing to accommodate the reductions of successive size of the transistors required by an ever increasing integration of a larger number of components in an integrated circuit. A widely used technique currently consists in manufacturing the integrated circuits starting from elaborate substrates 140 of silicon-on-insulator type, designated by their acronym SOI, of the English "silicon on insulator". The SOI developed substrate is characterized by the presence of a thin superficial layer of monocrystalline silicon 146 resting on a continuous layer of silicon oxide 144, called buried oxide or BOX, acronym for "buried oxide layer". The strength and the mechanical rigidity of the assembly are ensured by the layer 142 which constitutes the body of the SOI substrate, often described as "bulk" to indicate that the starting substrate is very generally made of solid silicon. This structure offers many advantages for the realization of MOSFET transistors. In particular, it allows a drastic reduction of parasitic capacitances due to the presence of the insulating continuous layer 144. With regard to the invention, it will be retained only that the surface layer of monocrystalline silicon 146 can be precisely controlled in thickness and in doping . In particular, it is advantageous for the performance of the transistors that the channel 130 can be completely deserted carriers, that is to say "fully depleted" (FD), English term which is generally used to designate this state. This is achieved by producing the transistors from SOI substrates whose surface layer 146 is very thin, which is not without disadvantage otherwise as will be seen in the description of the invention. This type of transistor is thus designated by the acronym FDSOI. An improvement in the basic self-alignment technique that has been universally adopted is the formation of spacers 150 on the flanks of the grid. The spacers 150, typically made of silicon nitride (SiN), will allow in particular the implementation of a technique called "Source and Drain elevated". In order to maintain low electrical resistance to access the source and drain electrodes, despite the size reduction of the transistors, it was indeed necessary to increase their section. This is obtained by selective epitaxy of the source / drain zones 110. During this operation, the initial layer of monocrystalline silicon 14 will be grown locally 112. It is then necessary to protect the grid areas to prevent the growth from also being made from polycrystalline silicon 123 of the grid. It is, among other things, the role of spacers to ensure this function. They also perform a role of preserving the gate during siliciding of the contacts (not shown) which is then performed for the same purpose in order to reduce the series resistance of access to the electrodes of the transistor. The formation of spacers 150 has become a crucial step in the formation of transistors that now reach dimensions that are commonly measured in nanometers (nm = 10-9 meters) and are generally decananometric in size. The spacers are made without involving any photoengraving operation. They are self-aligned on the gate 120 from the deposition of a uniform layer of silicon nitride 152 (SiN) which then undergoes a very strongly anisotropic etching. This etching of the SiN preferentially attacks the horizontal surfaces, that is to say all the surfaces that are parallel to the plane of the SOI substrate. It leaves in place, imperfectly, only the vertical portions of the layer 152, those substantially perpendicular to the plane of the substrate, in order to obtain in practice the patterns 150 whose ideal shape would obviously be rectangular. With the known solutions, the size reduction of the transistors makes it very difficult to obtain spacers that fully play their role of isolation and do not induce defects in the production of transistors from SOI substrates. Indeed, in the context of the present invention, and as will be detailed later, it has been found that several types of defect such as those mentioned below appear during the etching of the spacers using one or the other. other known methods of anisotropic etching. Figures 1b, 1c and 1c each illustrate a type of defect observed.
[0004] In particular, a type of etching is used which is said to be "dry" and which is carried out using a process which is most often referred to by its acronym RIE, of the English "reactive-ion eching", c. 'ie reactive ion etching'. It is an etching process in which a plasma is formed in a confined space that reacts physically and chemically with the surface of the wafer to be etched. In the case of the etching of a silicon nitride layer, which is, as we have seen, the preferred material for producing the spacers, the reactive gas is typically methyl fluoride (CH 3 F) which is reacted. with the material to be etched by also introducing dioxygen (02) and possibly helium (He). An etching plasma based on fluorine chemistry is thus formed and often designated by its constituents: CH3F / 02 / He. In this plasma, the fluorine compound serves to etch the silicon nitride whereas the oxygen makes it possible to limit the polymerization of the methyl fluoride and also serves to oxidize the silicon when this material is reached during etching. The oxide layer formed on the silicon makes it possible to slow the etching of the silicon at the cost, however, of a surface conversion of the latter into oxide and thus of a silicon surface consumption. Helium serves as a diluent for oxygen. Other reactive gases have been tested such as methane (CH4) and sulfur hexafluoride (SF6).
[0005] The advantage of this type of etching is that it is fairly anisotropic and allows to control sufficiently the profile of the spacers 150 even if one can not obtain in practice the ideal rectangular shape. The disadvantage of this type of etching is that the etch selectivity of the underlying silicon is however limited. The selectivity, that is to say the ratio of the etching rates between the silicon nitride and the silicon is of the order of 10 and can reach a maximum of 15 depending on the conditions of formation of the plasma (the nitride is etched 10 to 15 times faster than silicon). Also used are "wet" etchings based on hydrofluoric acid (HF) or phosphoric acid (H3PO4) which have a much better selectivity, respectively, with respect to silicon or its oxide (SiO2) but which do not however make it possible to control the profile of the spacers since the etching is essentially isotropic in this case. Note that this type of engraving is also called "wet cleaning" translation of the English "wet clean". It will be noted here that there are numerous publications on the subject of the etching of silicon nitride and / or gate spacers in general. For example, the following US patents or applications may be referred to: 2003/0207585; 4,529,476; 5,486,276 and 7,288,482. FIG. 1b illustrates a first problem which is related to the insufficient etching selectivity which exists during dry etching of CH3F / O2 / He type between the silicon nitride and the silicon of silicon. The result is that a significant fraction of the thin monocrystalline silicon surface layer 146 of the SOI substrate can then be partially consumed 147 during the anisotropic etching of the nitride. As previously mentioned, the surface layer 146 is chosen to be thin in order to improve the electrical characteristics of the transistors. It is typically less than 10 nm. The remaining thickness 145 may be very small. Under these conditions the ion implantation 105 to form the source and drain zones 110 which will follow is likely to be very damaging for the remaining monocrystalline silicon. The dopant implantation energy may be sufficient to cause complete amorphization 149 of the monocrystalline silicon 20 which will then in particular compromise the next epitaxial growth step 112 intended to form the raised source / drain. As previously mentioned, this last operation is made necessary because of the size reduction of the transistors in order to be able to maintain the access resistances to the source and drain electrodes at sufficiently low values so as not to impact the electrical operation of the transistors. . Growth from a partially or fully amorphous silicon layer will create many defects in the epitaxial layer. FIG. 1c illustrates another problem where there is no significant consumption of the silicon of the surface layer 146 but there is formation of "feet" 154 at the bottom of the remaining silicon nitride patterns on the flanks of the grid after engraving. The consequence is that the transition 114 of the junctions which are formed after ion implantation doping 105 of the source and drain zones 110, with the zone of the channel 130, is much less abrupt than when the spacers do not have feet as represented in FIG. previous figures. The presence of feet 154 affects the electrical characteristics of the transistors. It will be noted here that the formation or not of feet at the bottom of the spacers and the consumption or not of silicon of the silicon surface layer 146 of the SOI substrate, described in the previous figure, are antagonistic adjustment parameters of the etching which require that a compromise can be found for which, ideally, no feet are formed and the surface layer of silicon is not attacked significantly.
[0006] FIG. 1d illustrates a third problem that occurs when etching produces excessive erosion of the spacers in the upper portions of the grids and exposes polysilicon 123 in these areas 156. The consequence is that the subsequent epitaxial growth 112 to form the raised source / drain will also occur at these locations, as well as silicidation of parasitic contacts, which may cause short circuits between electrodes. Indeed, the etching of the spacers requires that the etching time is adjusted to etch, for example, 150% of the deposited nitride thickness. That is, a 50% overgraft is performed in this example to account for the nonuniformity of the deposit, or the etch operation itself, at a wafer. Thus, in some parts of the slice we can see that there is a too sharp overgrading that exposes the grid areas 156. This type of defect is also called "faceting". FIGS. 2a to 2i illustrate how problems related to plasma etching arise more specifically when producing FinFET-type MOSFET transistors, another transistor structure that is beginning to be used by the microelectronics industry for the technological nodes. from that of 22 nm. In the FinFET structure, the conduction channel consists of a thin vertical strip of silicon, described as the English term "fin", that is to say "fin". It is surrounded on three sides by the control gate. This makes it possible in particular to obtain transistors having better electrical performance and also to reduce the leakage currents.
[0007] Figures 2a to 2i describe the main steps of forming a FinFET transistor and the difficulties encountered. FIGS. 2a, 2b and 2c more particularly illustrate the formation by etching of a layer 710 of a crystalline semiconductor, most often silicon, of three-dimensional patterns, that is to say the "ends", which will form the conduction channels 730 of the transistors. The shape of the channels is defined by a hard mask 720 which is transferred by etching into the layer 710. This layer is for example the surface layer of monocrystalline silicon of a SOI substrate already described and is therefore based on a continuous layer of oxide and a substrate (not shown). Figures 2d, 2e and 2f illustrate the formation of the gate of the transistors. As with FDSOI type transistors, the gate consists of a stack of layers that are successively deposited on the patterns 730 that will form the channels. There is therefore the thin insulating layer of gate oxide 740 and the layer 750 made of an insulating material with high permittivity (high-k) covered by a metal gate (metal gate). The whole is covered by a layer of polycrystalline silicon 760 which has been flattened and on which the hard masks 770 and 780 are deposited and defined by photolithography, which will allow, by etching the stack of the above layers, to form the grid as well as the source and drain electrodes of the transistors. FIGS. 2g, 2h and 2i illustrate the following operations in which etching of the gate layers is carried out, which makes it possible to release, from each pattern 730, the source and drain zones 732 on either side of the gate and to define the length of the channels 734. As with the FDSOI type transistors, spacers are also needed. They are obtained, after deposition of a continuous layer 780 generally made of silicon nitride, using a very anisotropic etching of this layer which leaves in place only the vertical patterns 790 on the sides of the grid. Like the FDSOI transistors, the FinFET transistors thus suffer for their realization from the limitations and imperfections of the plasma etching. In particular, to achieve this transistor structure, the etching used must have an excellent selectivity with respect to silicon (Si) and its oxide (SiO 2) which is difficult to obtain, as we have seen, with a plasma etching.
[0008] As shown in Figures 2g and 2i the integrity of the angles of the etched patterns is affected. Indeed, for the FinFET transistor to have good performance, the edges 701 of the "ends" must form angles that are as straight as possible, while rounding these angles resulting in a reduction in the performance of the transistor. It is the same for the angle formed by the spacers and the layer on which it rests, typically the silicon layer. This angle is indicated in dashed lines in FIG. For the reasons indicated above, the current solutions based on plasma etchings do not make it possible to obtain edges forming right angles. It can thus be seen that plasma etching, while it has made it possible to follow the reductions in the size of the patterns at each introduction of a new technological node in the past years, poses however more and more problems when the size decreases, in particular in beyond the 22 nm technological node. The implementation of plasma etching for these dimensions introduces an additional degree of complexity to try to overcome the problems described above. Engraving chemistries more complex than the traditional one, called fluorocarbon, have been tested which require introducing additional gases into the etching chamber. Up to five different gases have been combined to form the etching plasma. This has the first consequence of considerably complicating the process. The three-dimensional character (3D) of the FinFET structure makes the problems described above for the realization of FDSOI transistors arise even more acutely for the production of FinFETs. Note also that the realization of this structure requires that one uses not only an anisotropic etching for the realization of the spacers 790 on the sides of the gate electrodes but that one can also burn the sides of the source electrodes / drain 732 using isotropic etching.
[0009] The object of the present invention is to propose a method of forming spacers which fully plays their role of isolation and which would eliminate or limit the defects in the production of transistors.
[0010] Other objects, features and advantages of the present invention will become apparent from the following description and accompanying drawings. It is understood that other benefits may be incorporated.
[0011] SUMMARY OF THE INVENTION To achieve this objective, one aspect of the present invention relates to a method of forming the spacers of a gate of a field effect transistor, the gate being located above an active layer in a field. semiconductor material, the method comprising a step of forming a protective layer covering at least the gate of the transistor; the method further comprising a step of forming a porous layer, a step of etching the protective layer, a modification of the porous layer to form a modified layer, and a step of removing the modified layer. The step of forming the porous layer is performed before the step of forming the protective layer. The porous layer obtained is located between the gate and the protective layer and has a dielectric constant k equal to or less than that of silicon oxide (SiO2). Then, the step of etching the protective layer is carried out anisotropically so as to retain residual portions of the protective layer only at the sidewalls of the grid, these residual portions constituting protective spacers of the grid. A modification of the porous layer is carried out anisotropically by penetration of ions, preferably from a plasma, into the porous layer. The modified layer is obtained by modifying the entire thickness of the porous layer above the grid and above the active layer and so as not to modify the entire thickness of the porous layer located on the sides of the grid . The porous layer located on the sidewalls of the grid is indeed protected by the protective spacers. The porous layer that remains on the sides of the grid is porous spacers for the grid. The step of removing the modified layer is then carried out so as to leave the protective spacers in place, by selectively etching the layer modified with respect to said semiconductor material of the active layer. The combination of two layers of spacers, one (nitride layer) preferably of nitride, the other of a porous dielectric with a low dielectric constant, makes it possible to improve the performances of the transistors while limiting the appearance of the problems. previously mentioned. Indeed, the porous dielectric, once modified by the plasma ions, is consumed very easily and with a selectivity with respect to the semiconductor material of the active layer (typically silicon), much higher than the selectivity of the SiN nitride spacers (or Si3N4) usually used with respect to Si as provided by the known solutions. Indeed, the known solutions provides a hydrofluoric acid (HF) etching solution which consumes the nitride at a speed of 0.5 nm / minute and with a selectivity of the nitride with respect to silicon of about 20 to 30 With the invention, the selectivity of the modified porous layer, for example of porous SiOCH with respect to Si, is greater than 100. This therefore makes it possible to considerably improve the selectivity and therefore the accuracy of the etching. This avoids excessive consumption of the active layer, to discover the sides of the grid or to form feet. In addition, during the injection of the plasma ions and during the removal of the porous layer located outside the sidewalls of the grid, the protective spacers protect the porous layer covering the sidewalls. The latter is not impaired by the injection of ions and remains in place. Dimensional control is thus improved compared to a solution without a nitride protective layer. The dielectric constant of a transistor according to the invention is particularly low, which makes it possible to improve the performance of the transistor. In particular, the reduced capacitance of the transistor according to the invention makes it possible to increase its operating speed and to reduce its electrical consumption.
[0012] Other optional features of the invention, which can be implemented in combination in any combination or alternatively, are indicated below: Advantageously, the porous layer has a lower dielectric constant than the protective spacers . Preferably, but not exclusively, the etching step and the modification are carried out in a single step during which the ions used to etch the protective layer modify the porous layer in order to form the modified layer. The etching carried out at the etching step is carried out using an argon (Ar) plasma based on oxygen (O 2) or nitrogen (N 2). Preferably, the plasma comprises only argon (Ar), oxygen (O 2) or nitrogen (N 2). The modification of the porous layer is carried out by penetration of ions of a plasma. This plasma is configured, in particular its energy and the nature of the ions, so that the ions have sufficient energy to cause a depletion of the bonds within the porous dielectric material. The modification is carried out using an argon-based plasma (Ar), O 2 or N 2. According to a preferred example, the step of forming the protective layer is performed to form a protective layer whose thickness is between 2 nanometers (nm) and 3 nm. - Preferably, the protective layer has a dielectric constant less than or equal to 7. - The material of the protective layer is compatible with dry or wet cleaning performed in the step of removing the modified layer. The material of the protective layer is chosen to resist the selective etching (dry or wet) carried out at the step of removing the modified layer. Advantageously, the protective layer is a nitride layer only or a silicon nitride layer (for example Si3N4 or SiN). In another embodiment, the protective layer is a boron nitride (BN) layer. It can also be a layer of SiO2, non-porous SiOCH or silicon-ca. one-bore-a: -) te (SiCBN). The step of forming the porous layer is for example carried out by a plasma-assisted chemical vapor deposition (PECVD) method, so as to form a porous layer whose thickness is between 5 nm and 6 nm. - Typically, the porous layer has a dielectric constant of less than 7 and preferably less than 4 and preferably less than 3.1 and preferably less than or equal to 2.; such a protective layer may be described as low-k layer, that is to say with a low dielectric constant. Preferably, the porous layer is a porous SiOCH layer. - The modified layer is formed of silicon oxide (SiO2). The step of removing the modified layer is carried out by selective wet etching to said semiconductor material of the active layer. - The semiconductor material is silicon and the step of removing the modified layer is performed by selectively wet etching silicon (Si). Preferably, but not exclusively, the selective silicon etching at the step of removing the modified layer is obtained using a solution based on hydrofluoric acid (HF). Alternatively, this removal step is carried out by selective dry etching to said semiconductor material of the active layer. - The semiconductor material is silicon and the step of removing the modified layer is carried out by selective dry etching with silicon (Si). Preferably, but not limited to, the dry etching is carried out in a plasma based on nitrogen trifluoride (NF3) and ammonia (NH3). Said modification is carried out so as to modify the porous layer throughout its thickness on all the surfaces parallel to the plane of a substrate on which the grid rests and not to modify the porous layer throughout its thickness on the surfaces perpendicular to this surface. plan. - The grid is located on a stack of layers forming an elaborate silicon-on-insulator substrate (SOI). - The semiconductor material is taken from: silicon (Si), germanium (Ge), silicon-germanium (SiGe). The transistor is a FDSOI type transistor. - The transistor is a FinFET type transistor. According to one embodiment, after the step of removing the modified layer, a step is taken to remove the protective spacers covering the sidewalls of the grid. This step is obtained by etching with a solution based on phosphoric acid (H 3 PO 4). This solution makes it possible to define the dielectric constant from the porous material only. This embodiment makes it possible to etch the nitride without consuming the porous material or the active layer. - Preferably, the protective layer is in contact with the active layer. Preferably, the protective layer is in contact with the porous layer. Preferably, the porous layer is in contact with the sides of the gate formed of a semiconductor material.
[0013] Another aspect of the present invention relates to a microelectronic device comprising at least one stack comprising a gate surmounting an active layer of semiconductor material, the active layer surmounting an insulating layer and a support substrate, and spacers arranged on flanks. Grid. The spacers comprise: porous spacers covering the flanks of the gate and having a dielectric constant equal to or less than that of silicon oxide (SiO 2).
[0014] According to an optional embodiment, the transistor comprises protective spacers covering the porous spacers and having a dielectric constant equal to or less than 7. Optionally the device may comprise at least one of the following characteristics taken alone or in combination Advantageously, the porous spacers are made of porous SiOCH. In another embodiment, the porous spacers are formed of a material whose dielectric constant is equal to or less than that of the porous SiOCH. - Preferably, the protective spacers are nitride such as nitride and for example silicon nitride such as Si3N4 or SiN. In another embodiment, the protective spacers are made of boron nitride (BN), SiO 2, non-porous SiOCH or SiCBN. - The thickness of the protective spacers is for example between 0.5 nm and 2 nm and is preferably equal to 1 nm. - The thickness of the porous spacers is for example between 5 nm and 6 nm.
[0015] BRIEF DESCRIPTION OF THE FIGURES The objects, objects, as well as the features and advantages of the invention will become more apparent from the detailed description of an embodiment thereof which is illustrated by the following accompanying drawings in which: FIG. 1 a to 1d show, on the one hand, a sectional view of an exemplary MOSFET transistor of the FDSOI type in progress and, on the other hand, illustrate various defects that can be observed on FDSOI transistor structures during etching the spacers using either of the standard anisotropic etching processes developed by the microelectronics industry.
[0016] FIGURES 2a to 2i illustrate the three-dimensional structure (3D) of an example of a FinFET MOSFET transistor and the etching problems that arise in this case. FIG. 3 summarizes the main steps of a detailed example of a method for forming the spacers of a transistor according to the invention applied to the production of FDSOI type transistors. FIGS. 4a to 4e respectively illustrate a structure of a transistor obtained at the end of one of the steps of the method according to one embodiment of the invention.
[0017] FIGURE 5 shows the steps of dry removal of the modified layer that has been modified by implantation, for example, of hydrogen. FIG. 6 shows a first example of a final structure of an FDSOI type transistor according to one embodiment of the invention. FIG. 7 illustrates a second example of a final structure of a transistor according to another embodiment of the invention in which the transistor comprises only porous spacers. The accompanying drawings are given by way of example and are not limiting of the invention. These drawings are schematic representations and are not necessarily at the scale of the practical application. In particular, the relative thicknesses of the layers and substrates are not representative of reality. DETAILED DESCRIPTION OF THE INVENTION It is pointed out that in the context of the present invention, the term "over", "overcomes", "overlap" or "underlying" or their equivalents do not necessarily mean "in contact with". For example, the deposition of a first layer on a second layer does not necessarily mean that the two layers are in direct contact with one another, but that means that the first layer at least partially covers the second layer. being either directly in contact with it or separated from it by another layer or another element.
[0018] In the following description, the thicknesses are generally measured in directions perpendicular to the plane of the lower face of the layer to be etched or a substrate on which the lower layer is disposed. Thus, the thicknesses are generally taken in a vertical direction in the figures shown. On the other hand, the thickness of a layer covering a flank of a pattern is taken in a direction perpendicular to this flank. The dielectric constants are for example measured by the well known method known as "Mercury Probe" which means by drop of mercury. As has been seen an object of the invention is to overcome all or at least some of the problems mentioned above. FIG. 3 summarizes the main steps 510 to 560 of a detailed example of a method for forming the spacers of a transistor according to the invention, applied to the production of FDSOI type transistors. These steps 510 to 560 can also be applied to the formation of spacers on the sidewalls of a gate of a FinFET type transistor. Steps 510 to 560 will be respectively detailed in the following paragraphs relating to FIGS. 4a to 4e and 7 respectively illustrating a structure of a transistor 200 obtained at the end of one of the steps 510 to 560 according to an embodiment of the invention. invention. FIG. 4a illustrates a structure of a SOI transistor 200 whose active layer 146 is surmounted by a gate 120. This FIG. 4a is obtained at the end of step 510 of FIG.
[0019] This step 510 consists in producing an elaborate substrate 140 of the SOI type, from a substrate 142, often referred to as bulk substrate (bulk substrate), an initial insulating layer 144 and the active layer 146, the latter preferably being semiconductor material and intended to subsequently form a conduction channel of the transistor 200.
[0020] Alternatively, the semiconductor material is taken from: germanium (Ge), silicon germanium (SiGe).
[0021] In addition to a layer of polycrystalline silicon 123, in a stack of layers forming the grid 120, there is first a thin insulating layer of gate oxide 121 through which an electric field will be able to develop to create a channel. underlying conduction between source and drain when a sufficient electrical voltage is applied to the gate 120. In the most recent MOSFET transistors it is implemented a qualified technology of the English term of "high-k / metal gate" that is that is to say that the dielectric layer 121 is made of an insulating material with high permittivity (high-k) covered by a metal layer (metal gate, not shown in FIGS) of the grid 120. This technology has been developed especially for reduce the leakage currents through the gate 120 which became much too large due to the decrease in the thickness of the dielectric layer 121 to atomic dimensions. At this point, the stack of layers of the gate 120 also includes a hard mask 126 protection which will be removed later to allow contact recovery on this electrode. This hard mask 126, which remains in place after etching the gate, is typically made of silicon oxide (SiO2). Its role is to protect the top of the grid 120 from any damage during the completion of the following steps and in particular those of etching spacers.
[0022] Preferably, the dielectric layer 121 is disposed in contact with the active layer 146 forming the conduction channel. Preferably, the metal layer is disposed in contact with the dielectric layer 121. Preferably, the polycrystalline silicon layer 123 is disposed directly in contact with the gate oxide formed by the dielectric layer 121 if the metal layer is absent or is disposed directly in contact with the metal layer. FIG. 4b illustrates the structure obtained at the end of step 520 for forming a porous layer 256 having a low dielectric constant. The porous layer 256 obtained at the end of step 520 having a low dielectric constant k equal to or less than a value 4, preferably between 2.1 and 3. The porous layer 256 obtained is preferably porous SiOCH. In a still more advantageous but nonlimiting manner, the dielectric constant k is equal to or less than that of the porous SiOCH. In addition, the material of the porous layer 256 is sufficiently sensitive to subsequent plasma ions so that this porous layer 256 can be transformed to form a modified layer 166 (described later). In addition, this porous layer 256 is selectively removable to the semiconductor material such as silicon of the active layer 146 and the material of the protective layer 152 formed in step 530 (described later).
[0023] This step 520 is preferably carried out using a deposit method called PECVD, acronym for "Plasma-Enhanced Chemical Vapor Deposition", that is to say "plasma-enhanced chemical vapor deposition. ". This type of deposit which is practiced at atmospheric pressure allows indeed a uniform deposit on all surfaces regardless of their orientation. This gives a deposit that can be described as compliant. Thus, preferably, the porous layer 256 obtained is of preferentially substantially uniform thickness, on all the surfaces, vertical and horizontal, devices being manufactured. Preferably, but not limited to, the porous layer 256 is disposed directly in contact with the polycrystalline silicon layer 123 at the edges of the gate 120 and also in contact with the active layer 146. Preferably, the thickness of the porous layer 256 is between 5 nanometers (nm) and 6 nm in order to have a total thickness of spacing, defined by the set of the porous layer 256 and a protective layer 152 described later, formed of 9 nm . The porosity of the porous layer 256 is preferably between 5% and 70% and preferably between 10% and 50% and preferably between 15% and 40%. The higher porosity of the porous layer 256 means that the porous layer 256 is more sensitive to a plasma subsequently applied in a subsequent step 550. The more porous the layer 256, the more easily it will be modified as described below.
[0024] FIG. 4c illustrates a step 530 for forming a protective layer 152, of preferentially substantially uniform thickness, on the upper surface of the porous layer 256, that is to say on all the surfaces, vertical and horizontal, devices being manufactured.
[0025] This step 530, which is not different from the corresponding step of the known processes and which has already been mentioned in FIG. 1a, is preferably carried out using a deposit method called LPCVD, which is the acronym for English "low pressure chemical vapor deposition", that is, "low pressure chemical vapor deposition". This type of deposit which is practiced at atmospheric pressure allows indeed a uniform deposit on all surfaces regardless of their orientation. The protective layer 152 obtained at the end of step 530 is preferably a nitride layer, such as a silicon nitride layer of a Si3N4 or SiN chemical compound. In another embodiment, the protective layer 152 is a non-porous boron nitride (BN), SiO 2, SiCBN or SiOCH layer or a layer having a dielectric constant equal to or less than that of the silicon nitride.
[0026] In addition, the material of the protective layer 152 must be compatible with dry or wet cleaning performed in a subsequent step 560 to remove a modified layer 166 (described later).
[0027] In a preferential but nonlimiting manner, the protective layer 152 is disposed directly in contact with the porous layer 256.
[0028] The thickness of the protective layer 152 is preferably sufficiently large, so that after the completion of the etching step 540, residual portions 152a, 152b of the protective layer 152 remain at the sidewalls of the grid 120 These residual portions 152a, 152b will be used to protect portions 256a, 256b of the porous layer 256 at the edges of the grid 120 when performing a subsequent step 550 (described later). These residual portions 152a, 152b thus form protective spacers or protective layers for the porous layer 256. The protective spacers extend over the entire height of the sidewalls of the grid 120.
[0029] In a preferred embodiment, the thickness of the protective layer 152 is between 2 nm and 3 nm. The consumption of the thickness of the protective layer 152 during the next etching step 540 is around 1 nm to 2.5 nm. Said residual portions 152a, 152b will therefore have, after the etching step 540, a thickness of between 0.5 nm and 2 nm, preferably 1 nm. FIG. 4d illustrates the structure of the transistor 200 at the end of the etching step 540 of the protective layer 152 and the modification 550 of the porous layer 256. The etching step 540 is carried out anisotropically and so as to preserve the residual portions 152a, 152b of the protective layer 152 only at the edges of the grid 120. These residual portions 152a, 152b will constitute protective spacers 152a, 152b of the grid 120, for example spacers nitride. Preferably, these spacers cover the entire flanks of the grid 120.
[0030] Preferably, but not exclusively, the etching 540 is carried out in a plasma reactor of the inductive or capacitive type, and to form an Argon plasma (Ar).
[0031] An exemplary implementation of step 540 will be provided in a paragraph below concerning the modification 550 of the porous layer 256. Said residual portions 152a, 152b, i.e. the protective spacers 152a, 152b of the grid 120, have a thickness between 0.5 nm and 2 nm, preferably 1 nm. Then, the modification 550 of the porous layer 256 as formed at the end of step 520, is done by penetration of species within the porous layer 256 to form a modified layer 166. This modification 550 is performed anisotropically and so as to modify the entire thickness of the porous layer 256 above the gate 120 and above the active layer 146 leaving in place unmodified portions 256a, 256b of the porous layer 256 at the the level of the sides of the gate 120. Thus, at the edges of the gate 120, the porous layer 256 is unmodified. More precisely, during this modification 550, a slight deflection of the plasma ions is made on the flanks of the structure obtained after the previous step 540. The thickness of the protective spacers 152a, 152b is chosen so to be thick enough that the deflection does not reach the porous spacers 256a, 256b. Typically, ion deflection occurs in the protective layer to a thickness of between 1 to 2 nm. In this case, the thickness of the protective layer 152 formed in the previous step 530 must not be less than 2 nm and will preferably be between 2 and 3 nm.
[0032] Thus, the thickness of the porous layer 256 located at the sidewalls of the gate 120 does not receive ions or does not receive enough to be modified. On the contrary, the porous layer portions 256 which are not located on the sidewalls of the grid receive ions from the plasma and are modified. The plasma may comprise various types of ions (such as Argon, O2 or N2 ions taken separately or in the form of a mixture), while the nature of these ions and the parameters of the plasma, in particular energy, make it possible to to ensure a depletion of the methyl groups of the porous material. Thus, this penetration of the plasma ions breaks the bonds of the porous material of the porous layer 256.
[0033] In the present embodiment, this modification 550 is performed using an Argon type plasma. Preferably, but not limited to, the species of a plasma used to effect said modification 550 of the porous layer 256 are the species already used as the basis of the plasma etching carried out in the previous etching step 540 of the In the present embodiment, for example, the Argon ions present in the plasma allow (at the etching step 540) an anisotropic etching of the Si3N4 protective layer 152 or SiN retaining the residual portions 152a, 152b of the protective layer 152 at the sidewalls of the gate 120, then modifying, also anisotropically, the porous layer 256 of porous SiOCH by transforming it into porous SiO2 when the porous layer 256 is in contact with said Argon plasma or an 02 plasma. Ion bombardment breaks methyl groups in the SiOCH porous layer 256 upon conversion of SiO 2 to SiO 2 while the SOI substrate 140 is not impacted by said ion bombardment. Thus, the modified layer 166 obtained after the modification 550 is a layer, for example porous silicon oxide (SiO2). The protective spacers 152a, 152b of the gate 120 protect, as mentioned above, the unmodified portions 256a, 256b of the porous layer 256 during this modification 550. The unmodified portions 256a, 256b then constitute spacers porous 256a, 256b located between the gate 120 and the protective spacers 152a, 152b.
[0034] An example of implementation of step 540 in an argon plasma etching reactor for etching 3 nm of the protective layer 152 followed by a modification 550 of the entire thickness of 6 nm of the porous layer 256 of porous SiOCH , is performed as a function of time in seconds and the power of polarization (bias) in watts, as described below: Engraving reactor: Argon plasma of 250 sccm Thickness of the protective layer 152 to be etched: 3nm Thickness of porous layer 256 of porous SiOCH 6 nm to be modified: Power of the source: 500 Watts Polarization power (ion energy): 200 Watts Pressure: 10 milli Torr Temperature: 50 ° C Time: 60 seconds Advantageously, the parameters implantation, in particular the energy communicated to the ions, the duration and the implantation dose are provided so that the modified layer 166 can be subsequently (step 560) etched selectively with respect to the active layer 146. Avantageu These parameters are also adjusted so that the modified layer 166 can be etched selectively with respect to the protective spacers (said residual portions) 152a, 152b. Advantageously, these parameters are also adjusted so that the modified layer 166 can be selectively etched with respect to a layer made of an oxide, typically an oxide of said semiconductor material, the latter forming for example a gate oxide layer. . Typically, the etching is selective of the material such as the porous SiO 2 silicon oxide of the modified layer 166 formed with argon plasma with respect to the active layer 146 and protective spacers. (residual portions) 152a, 152b. According to the embodiment described above, it may therefore be preferred to use a plasma etcher to perform the etching step 540 and the modification 550 in a single step, in particular for the following reasons: the cost of the apparatus is lower ; The manufacturing cycle times may be shorter since the etching step 540 of the protective layer 152 and the modification 550 of the porous layer 256 can then be carried out in the same apparatus without releasing the devices during manufacture. Engraving 540 results from a conventional physico-chemical reaction and preferably comprises CH3F etching with O2 and H1. On the other hand, in another embodiment, modification 550 can be performed independently of the etching step. 540 for example by plasma using ionic species different from those used for the etching step 540, which may be advantageous. Implantation is effected for example in a type 02 plasma. It will be noted here that this modification step 550 of the porous layer 256 to be etched can be carried out in many different ways by adapting all kinds of means commonly used by the microelectronics industry. Standard engravers are used, in which low or high density plasmas can be developed and the energy of the ions can be controlled to allow the implantation of species such as Ar, N2, O2, He, H above. intended to modify the porous layer 256 to be etched. It is also possible to use a type of so-called dip plasma commonly used to perform species implantation on the surface of a device during manufacture.
[0035] The modification step 550 is advantageously very anisotropic for the formation of the porous spacers 256a, 256b on the sides of the grid 120 due to the directionality of the plasma or implanting ions. It therefore preferably affects the horizontal surfaces, that is to say all the surfaces parallel to the plane of the developed substrate 140. The modified layer 166 obtained therefore comprises the modified thickness of the porous layer 256 above the upper surface of the active layer 146 and that above the upper surface of the hard mask 126 of the gate 120. The thickness of the porous layer 256 on the vertical surfaces relative to the plane of the prepared substrate 140, that is to say on the side surfaces of the gate 120, is protected by the protective spacers 152a, 152b and thus retained to form the porous spacers 256a, 256b. Typically, as mentioned in the example above, a thickness of 6 nm of the porous layer 256 on the horizontal surfaces can be varied during this operation. In addition, a total spacing thickness of the gate 120 is between 7 nm and 8 nm, this total thickness being defined by the assembly formed of the protective spacers 152a, 152b and porous spacers 256a, 256b.
[0036] The modified thicknesses of the porous layer 256 depend on the conditions of implementation, in particular the means employed (plasma or implanter).
[0037] FIG. 4e illustrates the final result of the removal step 560 after the etching has been performed, that is to say the removal of the modified layer 166. For the removal of the modified layer 166 from the porous silicon oxide (SiO2), a wet etching based on hydrofluoric acid (HF) which combines the removal of the modified layer 166 with wet cleaning of the wafer containing the devices being manufactured, is advantageously but nonlimiting used, because after this wet etching, conventionally wet cleaning (translation of the English "wet clean") is performed to clean a wafer on which is the transistor 200. This wet way will be preferred to remove the modified layer 166 selectively at silicon in the active layer 146 while cleaning the wafer. This simplifies the process and saves time. For example, to remove a thickness between 5 nm and 6 nm of the porous silicon oxide of the modified layer 166, it takes about 15 seconds with a solution of hydrofluoric acid (HF) to 1%. This time obviously depends directly on the thickness of the porous layer 256 which has been modified. This step 560 can be performed repeatedly. Preferably, if the thickness of the porous layer 256 formed in the step 520 is between 5 and 6 nm, as indicated in the example above, this withdrawal step 560 is carried out at one time thanks to the very high reactivity of the modified porous SiOCH (in porous SiO2) of the modified layer 166. On the other hand, if the porous layer 256 has a thickness greater than 6 nm, then it is possible to envisage carrying out several sequences of the steps 560. The number of sequences is calculated according to the burning speed of the first sequence. Stopping this etching is done on the protective spacers 152a, 152b or / and on the monocrystalline silicon of the active layer 146 or / and again on the hard mask 126 at the top of the gate 120 until the disappearance of the modified layer 166 on all horizontal surfaces. The porous SiO 2 which forms the modified layer 166 is etched very rapidly relative to the SiO 2 (dense) of the hard mask 126.
[0038] To avoid the problems of the conventional etching processes of the spacers described in FIGS. 1b to 1d, it is necessary that the etching of the modified layer 166 be as selective as possible with respect to the silicon in particular in order not to attack the silicon of the active layer 146. For example, in this wet etch embodiment, there is no silicon consumption of the active layer 146 due to the use of the hydrofluoric acid (HF) etching solution. At the end of this operation, all that remains are vertical patterns, essentially on the sides of the grid 120; that is, the unmodified portions 526a, 526b of the porous layer 526 then constituting the porous spacers 526a, 526b of the gate 120 of the FDSOI type transistor 200. In addition, the etching of the modified layer 166 can also be performed for the production of the spacers of a three-dimensional transistor FinFET type. Dry etching of the silicon-modified modified porous layer 166 of the active layer 146, the material of the protective spacers 152a, 152b and the silicon oxide (SiO2) of the hard mask 126 of the grid 120 can then also be practiced. for this step of dry removal of the modified layer 166. It should be noted here that this type of dry etching is also called "dry cleaning" translation of English "dry clean".
[0039] The method is that described by H. Nishini and his coauthors in an English publication entitled "Damage-free selective etching of Si native oxides using NH3 / NF3 and SF6 / H2O down flow etching" published in the "Journal of Applied Physics" volume 74 (2), July 1993. The principle of the dry removal of the modified layer 166 comprises the following steps 610 to 630 illustrated in FIG. 5 which take place in a reaction chamber where a plasma is formed. The thicknesses treated are typically between 1 nm and a few tens of nanometers. A first step 610 consists of generating the etching product in the plasma according to the following chemical reaction: NF3 + NH3 NH4F + NH4F.HF which reacts nitrogen trifluoride (NF3) with ammonia (NH3). The etching is carried out during a second step 620, at a temperature of the order of 30 ° C. and more generally between 10 ° C. and 50 ° C., in the form of a salt formation according to the invention. following chemical reaction: NH4F or NH4F.HF + SiNH (NH4) 2SiF6 (solid) + H2 during an operation which lasts between a few seconds and a few minutes and which is carried out under a pressure of between a few milli Torr and a few Torr. The solid species which are formed during this operation are then sublimed 630 at a temperature above 100 ° C for a few tens of seconds according to the following reaction: (NH4) 2SiF6 (solid) SiF4 (g) + NH3 (g) + HF (g) For example, to remove a 6 nm layer of porous SiOCH from the porous layer 256, the streams of nitrogen trifluoride (NF3) and ammonia (NH3) are respectively 5 sccm and 300 sccm at 30 ° C for 20 seconds for salt forming step 620 followed by sublimation step 630 at 180 ° C for 60 seconds. FIG. 6 illustrates a final structure of a transistor 400 of the FDSOI type according to one embodiment of the invention. The transistor 400 comprises an elaborate substrate 140, an active layer 146 of which is surmounted by a gate 120 formed by performing step 510 for example. The transistor 400 further comprises on the sides of the gate 120, protective spacers 152a, 152b and porous spacers 256a, 256b located on the sides of the gate 120 and preferably formed by performing the steps 520 to 560 mentioned herein. above, the porous spacers 256a, 256b being located between the grid 120 and the protective spacers 152a, 152b. The transistor 400 also comprises sources and drain (S / D) 440 arranged in contact with the active layer 146 and protective spacers 152a, 152b. It also includes a pre-contact layer 446 disposed above S / D 440 and in contact with the protective spacers 152a, 152b. This pre-contact layer 446 extends from the S / D 440 and at a height corresponding to the top of the grid 120, so as to be flush with the latter but without covering the latter. It further comprises a contact layer 442 surmounting the pre-contact layer 446 and arranged in line with the latter. This contact layer 442 is intended to form a connection zone of the transistor 400. The transistor 400 also comprises a contact etch stop layer (CESL) 452 covering the top of the grid 120 and preferably disposed in contact with the hard mask 126. It further comprises a pre-metal dielectric layer 456 (PMD), as illustrated in FIG. this transistor, at the end of the step of removal 560 of the modified layer 166, that is to say when finished removing the modified layer 166 on all the horizontal surfaces, an operation is carried out cleaning called "wet cleaning" most often qualified by its English term "wet clean", as already noted above. The source and drain electrodes 440 and the transistor 400 may then be formed. According to a preferred but nonlimiting embodiment, doping will delimit the source and drain 440 and therefore the length of a transistor channel. 400 can be done by ion implantation. The source and drain 440 are preferably of elevated type and preferably formed by epitaxial growth.
[0040] The other elements 446, 442, 452, 456 of transistor 400 as described above are conventionally made. The information of the elements of transistor 400 such as their sizing and the value of the dielectric constant are given in the table below.
[0041] Parameters Characteristics Parameters Dielectric Characteristics Thickness = 2.3 nm, raised S / D Thickness = 15 nm, a = 70 ° grid k (dielectric constant) = 20 gate metal Thickness = 5 nm Pitch 64 nm Grid Polysilicon Thickness = 40 nm, LG = 14 nm Contact Thickness = 26 nm Gate cap. Width = 6 nm CESL Thickness = 4 nm, k = 7 Spacers of thickness = 2.3 nm, k = 7 PMD Thickness = 36 nm, k = 3.9 protection and porous spacers It should be noted that the elements 446, 442, 452, 456 of transistor 400 are illustrated in FIG. 6 to show an example of a final structure of transistor 400. The present invention is therefore not limited to methods of realization or materials of elements 446, 442, 452, 456 of transistor 400 FIG. 7 illustrates another transistor 300 according to the invention, this transistor 300 comprising only porous spacers 526a, 526b.
[0042] According to the present embodiment, the method comprises, in addition to the steps 510-560 described above, an additional withdrawal step performed to remove the protective spacers 152a, 152b already formed at the sides of the gate 120. This additional step The shrinkage may be carried out for example by the wet method using a phosphoric acid (H 3 PO 4) etching solution. This solution has the advantage of etching the nitride of the protective spacers 152a, 152b without consuming the porous SiOCH of the porous spacers 256a, 256b or the silicon of the active layer 146 of the elaborate substrate 140.
[0043] The transistor 300 therefore comprises only porous spacers 526a, 526b and thus has a dielectric constant which corresponds to that of the porous spacers 526a, 526b, which makes it possible, for example, to reduce the overall dielectric constant of the structure. In summary, the method of the invention allows in particular anisotropic etchings and anisotropic modification made very selectively to a semiconductor material such as silicon. In addition, the method makes it possible to form porous spacers and protective spacers all having a sufficiently low dielectric constant, such as that of the porous SiOCH between 2.1 and 3 and that of the silicon nitride (SiN) of 7.
[0044] The method of the invention is particularly advantageous for forming the spacers of MOSFET or FinFET type transistors. The method of the present invention makes it possible to overcome, in particular, the problems detailed earlier in the case where the spacers of the grid are formed solely of silicon nitride, these problems relating, for example, to the excessive consumption of silicon of the active layer, the formation feet at the spacers of the grid at the interface with the SOI substrate as described in Figures lb and lc and the erosion of the spacers of the grid as described in Figure ld. The method of the present invention also makes it possible to overcome another problem detailed below of dimensional control of porous spacers formed of a single porous SiOCH material.
[0045] Indeed, during an exposure of this porous SiOCH material to an argon etching plasma and even with a low bombardment on the sidewalls of the gate, the porous SiOCH is modified over several nanometers. The modified porous SiOCH is then consumed during the HF cleaning step while the silicon of the active layer of the processed substrate is not consumed. The modification of the porous SiOCH thus makes it difficult to control the size of the porous spacers of the grid.
[0046] Thus, the combined use of two materials forming two contiguous spacers, comprising a nitride spacer and a porous dielectric spacer 256, makes it possible to overcome the above problems. The protective spacers 152a, 152b protect the porous spacers 256a, 256b on the sides of the grid 120 when carrying out the steps of the method of the invention. In addition, a transistor made by the method of the present invention has a decreased capacitance, thereby increasing the operating speed of the transistor and reducing the power consumption of the circuits. The invention consequently makes it possible to improve the performance of the transistors. The invention is not limited to the only embodiments and embodiments described above, but extends to all embodiments within the scope of the claims.
权利要求:
Claims (28)
[0001]
REVENDICATIONS1. A method of forming the spacers (152a, 152b, 256a, 256b) of a gate (120) of a field effect transistor (200), the gate (120) being located above an active layer (146) in a semiconductor material, comprising a step (530) for forming a protective layer (152) covering at least the gate (120) of the transistor (200), the method being characterized in that it comprises: before the step of forming the protective layer (152), a step (520) of forming a porous layer (256) between the gate (120) and the protective layer (152), the porous layer (256) ) having a dielectric constant k equal to or less than that of silicon oxide (SiO2); after the step of forming the protective layer (152), an etching step (540) of the protective layer (152) is carried out anisotropically so as to retain residual portions (152a, 152b) of the layer protection device (152) only at the sidewalls of the gate (120), these residual portions (152a, 152b) constituting protective spacers (152a, 152b) of the gate (120); a modification (550) of the porous layer (256) effected by penetration of ions within the porous layer (256) to form a modified layer (166), the modification being carried out anisotropically and so as to modify the a porous layer (256) throughout its thickness above the grid (120) and above the active layer (146) and so as not to change the entire thickness of the porous layer (256) on the flanks of the gate (120), the porous layer (256) on the sidewalls of the gate (120) being protected by the protective spacers (152a, 152b) and constituting porous spacers (256a, 256b) for the gate (120). ); and - at least one step of removing (560) the modified layer (166) by selectively etching the modified layer (166) from said active layer semiconductor material (146). and protective spacers (152a, 152b) protecting the porous layer (256) on the sidewalls of the grid (120).
[0002]
The method of claim 1 wherein the porous layer (256) has a lower dielectric constant than the protective spacers (152a, 152b).
[0003]
A method according to any one of the preceding claims wherein the etching step (540) and the modification (550) are performed in a single step in which the ions used to etch the protective layer ( 152) modify the porous layer (256) to form the modified layer (166).
[0004]
4. Method according to any one of the preceding claims wherein the etching performed in the etching step (540) is performed using an argon plasma (Ar), oxygen (02). or nitrogen (N2).
[0005]
5. Method according to any one of the preceding claims wherein the modification (550) of the porous layer (256) is performed using a plasma whose ions penetrate into the porous layer discovered, the plasma being based on argon (Ar), oxygen (02) or nitrogen (N2).
[0006]
6. A method according to any one of the preceding claims wherein the step of forming (530) the protective layer (152) is formed to form a protective layer (152) whose thickness is between 2 nanometers ( nm) and 3 nm.
[0007]
A method according to any preceding claim wherein the step of forming (520) the porous layer (256) is performed to form a porous layer (256) having a thickness of between 5 nm and 6 nm .
[0008]
The method of any of the preceding claims wherein the step of forming (520) the porous layer (256) is performed by a plasma enhanced chemical vapor deposition (PECVD) method.
[0009]
The method of any of the preceding claims wherein the material of the protective layer (152) is selected to resist selective etching performed at the step of removing (560) the modified layer (166).
[0010]
The method of any of the preceding claims wherein the protective layer (152) is a nitride layer such as a silicon nitride layer.
[0011]
11. A method according to any one of claims 1 to 9 wherein the protective layer (152) is a layer of boron nitride (BN), SiO2, non-porous SiOCH or SiCBN.
[0012]
The method of any of the preceding claims wherein the porous layer (256) is a porous SiOCH layer.
[0013]
13. A method according to any one of claims 1 to 11 wherein the dielectric constant of the porous layer (256) is between 2.1 and 3, and preferably equal to or less than that of porous SiOCH.
[0014]
14. A process according to any one of the preceding claims wherein the modified layer (166) is porous silicon oxide (SiO2).
[0015]
The method of any of the preceding claims wherein the step of removing (560) the modified layer (166) is performed by selective wet etching to said semiconductor material of the active layer (146). 20
[0016]
A method according to any one of the preceding claims wherein the semiconductor material is silicon and wherein the step of removing (560) the modified layer (166) is performed by selectively wet etching with silicon (Si) using a solution based on hydrofluoric acid (HF). 25
[0017]
A method according to any one of claims 1 to 15 wherein the semiconductor material is silicon and wherein the step of removing (560) the modified layer (166) is performed by selective dry etching silicon (Si ), the dry etching being performed in a plasma based on nitrogen trifluoride (NF3) and ammonia (NH3).
[0018]
18. A method according to any one of the preceding claims wherein said modification (550) performed so as to modify the porous layer (256) throughout its thickness on all the surfaces parallel to the plane of a substrate on which the grid rests ( 120) and not to modify the porous layer (256) throughout its thickness on the surfaces perpendicular to this plane.
[0019]
The method of any preceding claim, wherein the transistor is a FDSOI type transistor.
[0020]
The method of any one of claims 1 to 18, wherein the transistor is a FinFET type transistor.
[0021]
21. A method according to any one of the preceding claims comprising, after the step of removing (560) the modified layer (166), a step of removing the protective spacers (152a, 152b) covering the sides of the grid ( 120), obtained by etching with a solution based on phosphoric acid (H3PO4).
[0022]
A microelectronic device comprising at least one stack comprising a gate (120) surmounting an active layer (146) of semiconductor material, the active layer (146) surmounting an insulating layer (144) and a support substrate (142), and spacers (152a, 152b, 256a, 256b) disposed on flanks of the grid (120); characterized in that the spacers (152a, 152b, 256a, 256b) comprising: - porous spacers (256a, 256b) covering the sidewalls of the gate (120) and having a dielectric constant equal to or less than that of the oxide of silicon (5 iO2); protective spacers (152a, 152b), preferably based on nitride, covering the porous spacers (256a, 256b) and having a dielectric constant equal to or less than 7.
[0023]
23. Microelectronic device according to the preceding claim wherein the porous spacers (256a, 256b) are porous SiOCH.
[0024]
The microelectronic device of claim 22 wherein the porous spacers (256a, 256b) are formed of a material whose dielectric constant is equal to or less than that of the porous SiOCH.
[0025]
25. Microelectronic device according to any one of the three preceding claims wherein the protective spacers (152a, 152b) are nitride or silicon nitride.
[0026]
26. Microelectronic device according to any one of claims 21 to 24 wherein the protective spacers (152a, 152b) are boron nitride (BN).
[0027]
Microelectronic device according to any one of the five preceding claims wherein the thickness of the protective spacers (152a, 152b) is between 0.5 nm and 2 nm and is preferably equal to 1 nm.
[0028]
A microelectronic device according to any one of the preceding claims wherein the thickness of the porous spacers (256a, 256b) is between 5 nm and 6 nm.
类似技术:
公开号 | 公开日 | 专利标题
EP2975645B1|2017-02-01|Method for forming spacers of a transistor gate
EP2876677B1|2019-09-18|Method for forming spacers of a transistor gate
EP2750170B1|2018-04-11|Method for forming spacers of a transistor gate
EP3144973B1|2020-03-04|Method for forming spacers of a transistor gate
EP2939262A1|2015-11-04|Microelectronic method for etching a layer
EP2999001B1|2019-09-04|Production of spacers at the edges of a transistor gate
EP3261124B1|2020-08-19|Method for forming spacers of a transistor gate
EP3246948B1|2020-11-18|Method for forming, on a single substrate, transistors having different characteristics
EP3107125B1|2020-01-08|Method for forming spacers of a transistor gate
EP3107118B1|2020-02-12|Method for forming spaces of a transistor gate
EP3174092B1|2018-09-05|Method for forming spacers of a transistor gate
EP2975634B1|2017-02-01|Method of forming contact openings for a transistor
EP3506336B1|2020-11-04|Method for etching a three-dimensional dielectric layer
EP2998998A1|2016-03-23|Method for etching the surface of a three-dimensional structure
EP3107124B1|2018-04-04|Method for forming spacers of a transistor gate
EP3671815A1|2020-06-24|Method for etching a three-dimensional dielectric layer
EP3671814B1|2022-01-12|Method for etching a dielectric layer
FR3047352A1|2017-08-04|METHOD FOR MANUFACTURING A DOPANT TRANSISTOR LOCATED AT THE GRIT APLOMB
FR3046875A1|2017-07-21|PROCESS FOR PRODUCING A TRANSISTOR
同族专利:
公开号 | 公开日
US20150162190A1|2015-06-11|
EP2876677B1|2019-09-18|
US9437418B2|2016-09-06|
FR3013895B1|2017-04-14|
EP2876677A1|2015-05-27|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题
US6380030B1|1999-04-23|2002-04-30|Taiwan Semiconductor Manufacturing Company|Implant method for forming Si3N4 spacer|
US6255219B1|1999-09-07|2001-07-03|Advanced Micro Devices, Inc.|Method for fabricating high-performance submicron MOSFET with lateral asymmetric channel|
GB2362029A|1999-10-27|2001-11-07|Lucent Technologies Inc|Multi-layer structure for MOSFET Spacers|
US20040087155A1|2002-10-31|2004-05-06|Karsten Wieczorek|Method of removing sidewall spacers in the fabrication of a semiconductor device using an improved removal process|
US20080272445A1|2007-03-19|2008-11-06|International Business Machines Corporation|Low-k displacer for overlap capacitance reduction|
US20130164940A1|2011-12-23|2013-06-27|Tokyo Electron Limited|Highly selective spacer etch process with reduced sidewall spacer slimming|
DE3420347C2|1983-06-01|1987-08-20|Showa Denko K.K.|
US5786276A|1997-03-31|1998-07-28|Applied Materials, Inc.|Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2|
US6756313B2|2002-05-02|2004-06-29|Jinhan Choi|Method of etching silicon nitride spacers with high selectivity relative to oxide in a high density plasma chamber|
US20040033677A1|2002-08-14|2004-02-19|Reza Arghavani|Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier|
US7288482B2|2005-05-04|2007-10-30|International Business Machines Corporation|Silicon nitride etching methods|
JP5554951B2|2008-09-11|2014-07-23|ルネサスエレクトロニクス株式会社|Manufacturing method of semiconductor device|
US8673725B2|2010-03-31|2014-03-18|Tokyo Electron Limited|Multilayer sidewall spacer for seam protection of a patterned structure|
FR3000601B1|2012-12-28|2016-12-09|Commissariat Energie Atomique|METHOD FOR FORMING SPACERS OF A GRID OF A TRANSISTOR|US9543407B2|2014-02-27|2017-01-10|International Business Machines Corporation|Low-K spacer for RMG finFET formation|
US9941388B2|2014-06-19|2018-04-10|Globalfoundries Inc.|Method and structure for protecting gates during epitaxial growth|
KR102276642B1|2014-07-28|2021-07-15|삼성전자주식회사|Semiconductor device and method for manufacturing the same|
FR3041471B1|2015-09-18|2018-07-27|Commissariat A L'energie Atomique Et Aux Energies Alternatives|METHOD FOR FORMING SPACERS OF A GRID OF A TRANSISTOR|
US9876098B2|2016-01-15|2018-01-23|Taiwan Semiconductor Manufacturing Company, Ltd.|Method of forming a gate spacer|
CN107293588A|2016-03-30|2017-10-24|中芯国际集成电路制造有限公司|Semiconductor device and its manufacture method|
FR3051598B1|2016-05-20|2018-10-05|Commissariat A L'energie Atomique Et Aux Energies Alternatives|METHOD FOR PRODUCING ON THE SAME SUBSTRATE TRANSISTORS HAVING DIFFERENT CHARACTERISTICS|
US10770354B2|2017-11-15|2020-09-08|Taiwan Semiconductor Manufacturing Co., Ltd.|Method of forming integrated circuit with low-k sidewall spacers for gate stacks|
CN109994429B|2017-12-29|2021-02-02|中芯国际集成电路制造有限公司|Semiconductor device and method of forming the same|
FR3098644A1|2019-07-11|2021-01-15|Commissariat A L'energie Atomique Et Aux Energies Alternatives|Method of forming spacers of a transistor|
FR3098981A1|2019-07-18|2021-01-22|Commissariat A L'energie Atomique Et Aux Energies Alternatives|manufacturing process of transistors|
法律状态:
2015-11-26| PLFP| Fee payment|Year of fee payment: 3 |
2016-11-30| PLFP| Fee payment|Year of fee payment: 4 |
2017-11-27| PLFP| Fee payment|Year of fee payment: 5 |
2019-11-29| PLFP| Fee payment|Year of fee payment: 7 |
2021-08-06| ST| Notification of lapse|Effective date: 20210705 |
优先权:
申请号 | 申请日 | 专利标题
FR1361585A|FR3013895B1|2013-11-25|2013-11-25|METHOD FOR FORMING SPACERS OF A GRID OF A TRANSISTOR|FR1361585A| FR3013895B1|2013-11-25|2013-11-25|METHOD FOR FORMING SPACERS OF A GRID OF A TRANSISTOR|
US14/551,849| US9437418B2|2013-11-25|2014-11-24|Method for forming spacers for a transistor gate|
EP14194662.4A| EP2876677B1|2013-11-25|2014-11-25|Method for forming spacers of a transistor gate|
[返回顶部]